After getting the tinyFPGA working with the yosys / Arachne-PNR / icestorm toolchain as noted...